Explicación de Half Adder y Full Adder con tabla de verdad

Pruebe Nuestro Instrumento Para Eliminar Los Problemas





En los circuitos combinacionales, se utilizan diferentes puertas lógicas para diseñar codificador, multiplexor, decodificador y demultiplexor. Estos circuitos tienen algunas características como la salida de este circuito depende principalmente de los niveles que hay en los terminales de entrada en cualquier momento. Este circuito no incluye ninguna memoria. El estado anterior de la entrada no tiene ninguna influencia en el estado actual de este circuito. Las entradas y salidas de un circuito combinacional son 'n' no. de entradas & 'm' no. de salidas. Algunos de los circuitos combinacionales son medio sumador y sumador completo, restador, codificador, decodificador, multiplexor y demultiplexor. Este artículo describe una descripción general de la suma de la mitad y la suma completa y está trabajando con tablas de verdad.

¿Qué es una víbora?

Un sumador es un circuito lógico digital en electrónica que se usa ampliamente para la suma de números. En muchas computadoras y otros tipos de procesadores, los sumadores se utilizan incluso para calcular direcciones y actividades relacionadas y calcular índices de tablas en la ALU e incluso se utilizan en otras partes de los procesadores. Estos se pueden construir para muchas representaciones numéricas como exceso de 3 o decimal codificado en binario. Los sumadores se clasifican básicamente en dos tipos: Half Adder y Full Adder.




¿Qué es el circuito Half Adder y Full Adder?

El circuito de medio sumador tiene dos entradas: A y B, que suman dos dígitos de entrada y generan un acarreo y una suma. El circuito sumador completo tiene tres entradas: A y C, que suman tres números de entrada y generan un acarreo y una suma. Este artículo brinda información detallada sobre cuál es el propósito de un medio sumador y sumador completo en formas tabulares e incluso en diagramas de circuitos también. Ya se mencionó que el propósito principal y crucial de los sumadores es la adición. A continuación se detallan Teoría del medio sumador y del sumador completo.

Sumador medio básico y sumador completo

Sumador medio básico y sumador completo



Media víbora

Entonces, llegando al escenario de medio sumador, agrega dos dígitos binarios donde los bits de entrada se denominan augend y sumando y el resultado serán dos salidas, una es la suma y la otra es acarreo. Para realizar la operación de suma, se aplica XOR a ambas entradas y la puerta Y se aplica a ambas entradas para producir acarreo.

Diagrama funcional HA

Diagrama funcional HA

Mientras que en el circuito sumador completo, agrega 3 números de un bit, donde dos de los tres bits se pueden denominar operandos y el otro se denomina bit transportado. La salida producida es una salida de 2 bits y estos se pueden denominar a como acarreo de salida y suma.

Al usar un medio sumador, puede diseñar una suma simple con la ayuda de puertas lógicas.


Veamos un ejemplo de cómo agregar dos bits individuales.

El de 2 bits tabla de verdad de medio sumador es el siguiente:

Tabla de la verdad de Half Adder

Tabla de la verdad de Half Adder

0+0 = 0
0+1 = 1
1+0 = 1
1+1 = 10

Estas son las combinaciones de un solo bit menos posibles. Pero el resultado de 1 + 1 es 10, el resultado de la suma debe reescribirse como una salida de 2 bits. Por tanto, las ecuaciones se pueden escribir como

0+0 = 00
0+1 = 01
1+0 = 01
1+1 = 10

La salida '1' de '10' se realiza. 'SUM' es la salida normal y 'CARRY' es la salida.

Ahora se ha aclarado que un sumador de 1 bit se puede implementar fácilmente con la ayuda de la puerta XOR para la salida 'SUM' y una puerta AND para el 'Carry'.

Por ejemplo, cuando necesitamos sumar dos bytes de 8 bits juntos, entonces se puede implementar utilizando un circuito lógico sumador completo. El medio sumador es útil cuando desea agregar cantidades de un dígito binario.

Una forma de desarrollar sumadores de dos dígitos binarios sería hacer una tabla de verdad y reducirla. Cuando desee hacer un sumador de tres dígitos binarios, la operación de suma del medio sumador se realiza dos veces. De manera similar, cuando decides hacer un sumador de cuatro dígitos, la operación se realiza una vez más. Con esta teoría, quedó claro que la implementación es simple, pero el desarrollo es un proceso que toma tiempo.

La expresión más simple usa la función OR exclusiva:

Suma = A XOR B

Llevar = A Y B

Diagrama lógico HA

Diagrama lógico HA

Y una expresión equivalente en términos del AND, OR y NOT básicos es:

SUMA = A.B + A.B '

Código VHDL para Half Adder

La entidad ha es

Puerto (a: en STD_LOGIC
b: en STD_LOGIC
sha: fuera STD_LOGIC
cha: fuera STD_LOGIC)
fin ha

El comportamiento de la arquitectura del circuito anterior es

comenzar
sha<= a xor b
No<= a and b
final del comportamiento

Número IC de medio sumador

La implementación del medio sumador se puede realizar a través de circuitos integrados de lógica digital CMOS de alta velocidad como la serie 74HCxx que incluye SN74HC08 (7408) y SN74HC86 (7486).

Limitaciones de Half Adder

La razón principal para llamar a estos sumadores binarios como Half Adders es que no hay un rango para incluir el bit de acarreo usando un bit anterior. Por lo tanto, esta es una limitación principal de los HA que alguna vez se usaron como sumadores binarios, particularmente en situaciones en tiempo real que implican agregar varios bits. Por tanto, esta limitación se puede superar utilizando los sumadores completos.

Sumador completo

Este sumador es difícil de implementar en comparación con el medio sumador.

Diagrama funcional de sumador completo

Diagrama funcional de sumador completo

La diferencia entre un medio sumador y un sumador completo es que el sumador completo tiene tres entradas y dos salidas, mientras que el medio sumador tiene solo dos entradas y dos salidas. Las dos primeras entradas son A y B y la tercera entrada es un acarreo de entrada como C-IN. Cuando se diseña una lógica de sumador completo, encadena ocho de ellos para crear un sumador de ancho de byte y en cascada el bit de acarreo de un sumador al siguiente.

Tabla de verdad de FA

Tabla de verdad de FA

El acarreo de salida se designa como C-OUT y la salida normal se representa como S, que es 'SUM'.

Con lo anterior sumadora completa tabla de verdad , la implementación de un circuito sumador completo se puede entender fácilmente. El SUM 'S' se produce en dos pasos:

  1. Haciendo XOR de las entradas proporcionadas 'A' y 'B'
  2. El resultado de A XOR B luego se XOR con el C-IN

Esto genera SUM y C-OUT es cierto solo cuando dos de las tres entradas son ALTAS, entonces C-OUT será ALTO. Entonces, podemos implementar un circuito sumador completo con la ayuda de dos circuitos de medio sumador. Inicialmente, el medio sumador se usará para sumar A y B para producir una suma parcial y se puede usar una lógica de segundo medio sumador para agregar C-IN a la suma producida por el primer medio sumador para obtener la salida S final.

Si alguna lógica de medio sumador produce un acarreo, habrá un acarreo de salida. Entonces, C-OUT será una función OR de las salidas de acarreo del semisumador. Eche un vistazo a la implementación del circuito sumador completo que se muestra a continuación.

Diagrama lógico de sumador completo

Diagrama lógico de sumador completo

La implementación de diagramas lógicos más grandes es posible con la lógica de suma completa anterior, un símbolo más simple se usa principalmente para representar la operación. A continuación se muestra una representación esquemática más simple de un sumador completo de un bit.

Con este tipo de símbolo, podemos sumar dos bits, tomando un acarreo del siguiente orden inferior de magnitud y enviando un acarreo al siguiente orden de magnitud superior. En una computadora, para una operación de varios bits, cada bit debe estar representado por un sumador completo y debe agregarse simultáneamente. Por lo tanto, para sumar dos números de 8 bits, necesitará 8 sumadores completos que pueden formarse conectando en cascada dos de los bloques de 4 bits.

Half Adder y Full Adder usando K-Map

Incluso las salidas de suma y acarreo para la mitad del sumador también se pueden obtener con el método del mapa de Karnaugh (mapa K). los expresión booleana de medio sumador y sumador completo se puede obtener a través de K-map. Entonces, el mapa K para estos sumadores se analiza a continuación.

El mapa K de medio sumador es

HA K-Map

HA K-Map

El K-Map de sumador completo es

FA K-Mapa

FA K-Mapa

Expresión lógica de SUM y acarreo

La expresión lógica de suma (S) se puede determinar con base en las entradas mencionadas en la tabla.

= A'B'Cin '+ A' B CCin '+ A B'Cin' + AB Cin
= Cin (A’B ’+ AB) + Cin’ (A ’B + A B ’)
= Cin EX-OR (A EX-OR B)
= (1,2,4,7)

La expresión lógica del acarreo (Cout) se puede determinar en función de las entradas mencionadas en la tabla.

= A’B Cin + AB’Cin + AB Cin ’+ ABCin
= AB + BCin + ACin
= (3, 5, 6, 7)

Con las tablas de verdad mencionadas anteriormente se pueden obtener los resultados y el procedimiento es:

Un circuito combinacional combina las diferentes puertas en el circuito donde pueden ser un codificador, decodificador, multiplexor y demultiplexor . Las características de los circuitos combinacionales son las siguientes.

  • La salida en cualquier instante de tiempo se basa solo en los niveles que están presentes en los terminales de entrada.
  • No usa memoria. El estado anterior de la entrada no tiene ningún efecto sobre el estado actual del circuito.
  • Puede tener cualquier número de entradas y m número de salidas.

Codificación VHDL

Codificación VHDL para sumador completo Incluya lo siguiente.

entidad full_add es

Puerto (a: en STD_LOGIC
b: en STD_LOGIC
cin: en STD_LOGIC
suma: fuera STD_LOGIC
cout: fuera STD_LOGIC)
end full_add

El comportamiento de la arquitectura de full_add es

componente ha es
Puerto (a: en STD_LOGIC
b: en STD_LOGIC
sha: fuera STD_LOGIC
cha: fuera STD_LOGIC)
componente final
señal s_s, c1, c2: STD_LOGIC
comenzar
HA1: mapa de puertos ha (a, b, s_s, c1)
HA2: mapa de puertos ha (s_s, cin, sum, c2)
costo<=c1 or c2
final del comportamiento

los diferencia entre medio sumador y sumador completo es que el medio sumador produce resultados y el sumador completo utiliza el medio sumador para producir algún otro resultado. De manera similar, mientras que el Sumador completo es de dos Sumadores completos, el Sumador completo es el bloque real que usamos para crear los circuitos aritméticos.

Llevar sumadores anticipados

En el concepto de circuitos sumadores de acarreo de ondulación, los bits necesarios para la adición están disponibles de inmediato. Considerando que cada sección sumadora necesita mantener su tiempo para la llegada del acarreo desde el bloque sumador anterior. Debido a esto, se necesita más tiempo para producir SUM y CARRY ya que cada sección del circuito espera la llegada de la entrada.

Por ejemplo, para entregar salida para el bloque n, necesita recibir entradas del bloque (n-1). Y este retraso se denomina correspondientemente retraso de propagación.

Para superar el retraso en el sumador de acarreos de ondulación, se introdujo un sumador de acarreo anticipado. Aquí, mediante el uso de hardware complicado, se puede minimizar el retardo de propagación. El siguiente diagrama muestra un sumador de acarreo anticipado utilizando sumadores completos.

Llevar anticipación con sumador completo

Llevar anticipación con sumador completo

La tabla de verdad y las ecuaciones de salida correspondientes son

A B C C+1 Condición
0000

No llevar

Generar

0010
0100
0111

No llevar

Propagar

1000
1011
1101

Llevar

Generar

1111

La ecuación de acarreo propagados es Pi = Ai XOR Bi y el acarreo genera Gi = Ai * Bi. Con estas ecuaciones, las ecuaciones de suma y acarreo se pueden representar como

SUMA = Pi XOR Ci

Ci + 1 = Gi + Pi * Ci

Gi entrega acarreo solo cuando las entradas Ai y Bi son 1 sin considerar el acarreo de entrada. Pi está relacionado con la propagación de acarreo de Ci a Ci + 1.

Diferencia entre Half Adder y Full Adder

los diferencia entre la mitad de la sumadora y la tabla de suma completa se muestra a continuación.

Media víbora Sumador completo
Half Adder (HA) es un circuito lógico combinacional y este circuito se usa para agregar dos dígitos de un bit.Sumador completo (FA) es un circuito combinacional y este circuito se utiliza para sumar tres dígitos de un bit.
En HA, una vez que se genera el acarreo de la adición anterior, no se puede agregar al siguiente paso.En FA, una vez que se genera el acarreo a partir de la adición anterior, se puede agregar al siguiente paso.
El medio sumador incluye dos puertas lógicas como la puerta AND y la puerta EX-OR.El sumador completo incluye dos puertas EX-OR, dos puertas OR y dos puertas AND.
Los bits de entrada en el medio sumador son dos como A, B.Los bits de entrada en el sumador completo son tres como A, B y C-in
La suma de la mitad del sumador y la ecuación de acarreo es

S = a⊕b C = a * b

La expresión lógica del sumador completo es

S = a ⊕ b⊕Cin Cout = (a * b) + (Cin * (a⊕b)).

HA se usa en computadoras, calculadoras, dispositivos usados ​​para medición digital, etc.FA se utiliza en procesadores digitales, adición de múltiples bits, etc.

los diferencias clave entre el medio sumador y el sumador completo se analizan a continuación.

  • El medio sumador genera suma y acarreo agregando dos entradas binarias, mientras que el sumador completo se usa para generar suma y acarreo agregando tres entradas binarias. Tanto la arquitectura de hardware de medio sumador como de sumador completo no es la misma.
  • La característica principal que diferencia a HA & FA es que en HA no existe tal trato para considerar la última adición como su entrada. Pero, un FA ubica una columna de entrada particular como Cin para considerar el bit de acarreo de la última adición.
  • Los dos sumadores mostrarán una diferencia en función de los componentes utilizados en el circuito para su construcción. Los medios sumadores (HA) están diseñados con la combinación de dos compuertas lógicas como AND y EX-OR mientras que el FA está diseñado con la combinación de tres compuertas AND, dos XOR y una OR.
  • Básicamente, los HA operan en 2-dos entradas de 1 bit, mientras que los FA operan en tres entradas de 1 bit. El medio sumador se utiliza en diferentes dispositivos electrónicos para evaluar la adición, mientras que el sumador completo se utiliza en procesadores digitales para la adición de un bit largo.
  • Las similitudes en estos dos sumadores son que tanto el HA como el FA son circuitos digitales combinacionales, por lo que no utilizan ningún elemento de memoria, como circuitos secuenciales. Estos circuitos son esenciales para que la operación aritmética proporcione la suma del número binario.

Implementación completa de sumadores con medios sumadores

La implementación de un FA se puede hacer a través de dos medios sumadores que están conectados lógicamente. El diagrama de bloques de esto se puede mostrar a continuación, que indica la conexión de un FA utilizando dos medios sumadores.
Las ecuaciones de suma y acarreo de cálculos anteriores son

S = A 'B' Cin + A 'BC' en + ABCin

Cout = AB + ACin + BCin

La ecuación de suma se puede escribir como.

Cin (A'B '+ AB) + C' en (A 'B + A B')

Entonces, Sum = Cin EX-OR (A EX-OR B)

Cin (A EX-OR B) + C'in (A EX-OR B)

= Cin EX-OR (A EX-OR B)

Cout se puede escribir de la siguiente manera.

COUT = AB + ACin + BCin.

Cout = AB + + decepciones BCIN (A + A)

= ABCin + AB + ACin + A ’B Cin

= AB (1 + Cin) + ACin + A ’B Cin

= A B + ACin + A ’B Cin

= AB + ACin (B + B ’) + A’ B Cin

= ABCin + AB + A’B Cin + A ’B Cin

= AB (Cin + 1) + A B Cin + A ’B Cin

= AB + AB ’Cin + A’ B Cin

= AB + Cin (AB ’+ A ’B)

Por lo tanto, COUT = AB + Cin (A EX-OR B)

Dependiendo de las dos sumas y ecuaciones de acarreo anteriores, el circuito FA se puede implementar con la ayuda de dos HA y una puerta OR. El diagrama de circuito de un sumador completo con dos medios sumadores se ilustra arriba.

Sumador completo usando dos medios sumadores

Sumador completo usando dos medios sumadores

Diseño de sumador completo con el uso de puertas NAND

Una puerta NAND es un tipo de puerta universal que se utiliza para ejecutar cualquier tipo de diseño lógico. El circuito FA con el diagrama de puertas NAND se muestra a continuación.

FA usando puertas NAND

FA usando puertas NAND

FA es un sumador sencillo de un bit y si deseamos ejecutar la suma de n bits, entonces n no. de FA de un bit debe emplearse en el formato de conexión en cascada.

Ventajas

los ventajas de la media suma y la sumadora completa Incluya lo siguiente.

  • El propósito principal de un medio sumador es sumar dos números de un solo bit
  • Los sumadores completos tienen la capacidad de agregar un bit de acarreo que es el resultado de la adición anterior
  • Con sumador completo, se pueden implementar circuitos cruciales como sumador, multiplexor y muchos otros
  • Los circuitos sumadores completos consumen energía mínima
  • Las ventajas de un sumador completo sobre un medio sumador son que se usa un sumador completo para superar el inconveniente de un medio sumador porque el medio sumador se usa principalmente para sumar dos números de 1 bit. Los medios sumadores no agregan el bit de acarreo, por lo que para superar este sumador completo se emplea. En el sumador completo, se pueden agregar tres bits y generar dos salidas.
  • El diseño de sumadores es simple y es un bloque de construcción básico para que la adición de un bit se pueda entender fácilmente.
  • Este sumador se puede convertir en medio resta agregando un inversor.
  • Utilizando un sumador completo, se puede obtener un alto rendimiento.
  • Alta velocidad
  • Muy fuerte para suministrar escalado de voltaje

Desventajas

los desventajas del medio sumador y del sumador completo Incluya lo siguiente.

  • Además, el medio sumador no se puede usar antes de transportar, por lo que no es aplicable para la adición en cascada de varios bits.
  • Para superar este inconveniente, FA es necesario agregar tres 1 bit.
  • Una vez que el FA se utiliza en forma de cadena como un RA (Ripple Adder), la capacidad de salida de la unidad se puede reducir.

Aplicaciones

Las aplicaciones de medio sumador y sumador completo incluyen las siguientes.

  • La suma de bits binarios se puede realizar por medio sumador utilizando ALU dentro de la computadora porque usa sumador.
  • La combinación de medio sumador se puede utilizar para diseñar un circuito sumador completo.
  • Los medios sumadores se utilizan en las calculadoras y para medir las direcciones y las tablas
  • Estos circuitos se utilizan para manejar diferentes aplicaciones dentro de circuitos digitales. En el futuro, juega un papel clave en la electrónica digital.
  • Un circuito FA se utiliza como elemento en muchos circuitos grandes, como Ripple Carry Adder. Este sumador suma el número de bits simultáneamente.
  • Los FA se utilizan en unidades lógicas aritméticas (ALU)
  • Los FA se utilizan en aplicaciones relacionadas con gráficos como GPU (Unidad de procesamiento de gráficos)
  • Estos se utilizan en el circuito de multiplicación para ejecutar la multiplicación por arrastre.
  • En una computadora, para generar la dirección de memoria y construir el contrapunto del programa hacia la instrucción subsiguiente, la Unidad Aritmética Lógica se usa usando Sumadores Completos.

Por lo tanto, siempre que se realiza la suma de dos números binarios, los dígitos se suman al principio con el mínimo de bits. Este proceso se puede realizar mediante un medio sumador porque es el n / w más simple que permite sumar dos números de 1 bit. Las entradas de este sumador son los dígitos binarios, mientras que las salidas son la suma (S) y el acarreo (C).

Siempre que se incluye el número de dígitos, la red HA se utiliza simplemente para conectar la menor cantidad de dígitos, ya que HA no puede agregar el número de acarreo de la clase anterior. Un sumador completo se puede definir como la base de todos los dispositivos aritméticos digitales. Se utiliza para sumar tres números de 1 dígito. Este sumador incluye tres entradas como A, B y Cin, mientras que las salidas son Sum y Cout.

Conceptos relacionados

los conceptos relacionados con la mitad y la suma completa simplemente no ceñirse a un solo propósito. Tienen un uso extenso en muchas aplicaciones y se mencionan algunas de las relacionadas:

  • Número de IC sumador medio y sumador completo
  • Desarrollo de sumador de 8 bits
  • ¿Cuáles son las precauciones de la media víbora?
  • Applet JAVA de una víbora de transporte de ondas

Por lo tanto, se trata de Teoría del medio sumador y del sumador completo junto con las tablas de verdad y los diagramas lógicos, también se muestra el diseño del sumador completo utilizando un circuito de medio sumador. Mucho de medio sumador y sumador completo pdf Hay documentos disponibles para proporcionar información avanzada sobre estos conceptos. Además, es importante saber cómo se implementa un sumador completo de 4 bits ?