Ripple Carry Adder: funcionamiento, tipos y sus aplicaciones

Pruebe Nuestro Instrumento Para Eliminar Los Problemas





En Electrónica digital la suma de números binarios de dos bits puede ser posible usando media víbora . Y si la secuencia de entrada tiene una secuencia de tres bits, entonces el proceso de adición se puede completar utilizando un sumador completo. Pero si el número de bits es mayor en la secuencia de entrada, entonces el proceso se puede completar utilizando un medio sumador. Porque el sumador completo no puede completar la operación de adición. Por lo que estos inconvenientes se pueden superar mediante el uso de 'Ripple Carry Adder'. Es un tipo único de circuito lógico utilizado para sumar los números de N bits en operaciones digitales. Este artículo describe una descripción general de lo que es ripple-carry-sumador y su funcionamiento.

¿Qué es Ripple Carry Adder?

Una estructura de múltiples sumadores completos está en cascada de una manera que da los resultados de la adición de una secuencia binaria de n bits. Este sumador incluye sumadores completos en cascada en su estructura, por lo que el acarreo se generará en cada etapa del sumador completo en un circuito sumador de acarreo ondulado. Estas salidas de acarreo en cada etapa de sumador completo se reenvían a su siguiente sumador completo y allí se aplica como entrada de acarreo. Este proceso continúa hasta su última etapa de sumadora completa. Entonces, cada bit de salida de acarreo se riza a la siguiente etapa de un sumador completo. Por esta razón, se le denomina “ADDER RIPPLE CARRY”. La característica más importante de esto es agregar las secuencias de bits de entrada, ya sea que la secuencia sea de 4 bits, 5 bits o cualquiera.




“Uno de los puntos más importantes a considerar en este sumador de acarreo es que la salida final se conoce solo después de que los resultados de acarreo son generados por cada etapa del sumador completo y reenviado a su siguiente etapa. Así que habrá una demora para obtener el resultado con el uso de este sumador de acarreo ”.

Hay varios tipos de sumadores de acarreo ondulado. Son:



  • Sumador de transporte de ondulación de 4 bits
  • Sumador de transporte de ondulación de 8 bits
  • Sumador de transporte de ondulación de 16 bits

Primero, comenzaremos con sumadores de acarreo de ondulación de 4 bits y luego sumadores de acarreo de ondulación de 8 y 16 bits.

Sumador de transporte de ondulación de 4 bits

El siguiente diagrama representa el sumador de acarreo de ondulación de 4 bits. En este sumador, cuatro sumadores completos están conectados en cascada. Co es el bit de entrada de acarreo y siempre es cero. Cuando este acarreo de entrada 'Co' se aplica a las dos secuencias de entrada A1 A2 A3 A4 y B1 B2 B3 B4, la salida se representa con S1 S2 S3 S4 y el acarreo de salida C4.


Diagrama RCA de 4 bits

Funcionamiento de la sumadora de transporte de ondulación de 4 bits

  • Tomemos un ejemplo de dos secuencias de entrada 0101 y 1010. Éstas representan el A4 A3 A2 A1 y B4 B3 B2 B1.
  • Según este concepto de sumador, el acarreo de entrada es 0.
  • Cuando Ao y Bo se aplican en el 1er sumador completo junto con el acarreo de entrada 0.
  • Aquí A1 = 1 B1 = 0 Cin = 0
  • La suma (S1) y el acarreo (C1) se generarán según las ecuaciones de suma y acarreo de este sumador. Según su teoría, la ecuación de salida para Suma = A1⊕B1⊕Cin y Carry = A1B1⊕B1Cin⊕CinA1
  • Según esta ecuación, para el 1er sumador completo S1 = 1 y la salida de acarreo, es decir, C1 = 0.
  • Lo mismo que para los siguientes bits de entrada A2 y B2, salida S2 = 1 y C2 = 0. Aquí el punto importante es que el sumador completo de la segunda etapa obtiene el acarreo de entrada, es decir, C1, que es el acarreo de salida del sumador completo de la etapa inicial.
  • De esta manera obtendrá la secuencia de salida final (S4 S3 S2 S1) = (1 1 1 1) y la salida lleva C4 = 0
  • Este es el proceso de adición para secuencias de entrada de 4 bits cuando se aplica a este sumador de acarreo.

Sumador de transporte de ondulación de 8 bits

  • Consta de 8 sumadores completos que están conectados en forma de cascada.
  • Cada salida de acarreo de sumador completo se conecta como acarreo de entrada al sumador completo de la siguiente etapa.
  • Las secuencias de entrada se indican con (A1 A2 A3 A4 A5 A6 A7 A8) y (B1 B2 B3 B4 B5 B6 B7 B8) y su secuencia de salida relevante se indica con (S1 S2 S3 S4 S5 S6 S7 S8).
  • El proceso de adición en un sumador de acarreo de rizo de 8 bits es el mismo principio que se utiliza en un sumador de acarreo de rizo de 4 bits, es decir, cada bit de dos secuencias de entrada se agregará junto con el acarreo de entrada.
  • Esto se utilizará cuando se agreguen dos secuencias de dígitos binarios de 8 bits.
Sumador de acarreo de ondulación de 8 bits

Sumador de acarreo de ondulación de 8 bits

Sumador de transporte ondulado de 16 bits

  • Consta de 16 sumadores completos que están conectados en forma de cascada.
  • Cada salida de acarreo de sumador completo se conecta como acarreo de entrada al sumador completo de la siguiente etapa.
  • Las secuencias de entrada se indican con (A1… .. A16) y (B1 …… B16) y su secuencia de salida relevante se indica con (S1 …… .. S16).
  • El proceso de adición en un sumador de acarreo de rizado de 16 bits es el mismo principio que se utiliza en un sumador de acarreo de rizado de 4 bits, es decir, cada bit de dos secuencias de entrada se agregará junto con el acarreo de entrada.
  • Esto se utilizará cuando se agreguen dos secuencias de dígitos binarios de 16 bits.
Sumador de acarreo de ondulación de 16 bits

Sumador de acarreo de ondulación de 16 bits

Tabla de verdad de Ripple Carry Adder

A continuación, la tabla de verdad muestra los valores de salida para las posibles combinaciones de todas las entradas para ripple-carry-sumador.

A1 A2 A3 A4 B4 B3 B2 B1 S4 S3 S2 S1

Llevar

0

000000000000
010001001000

0

1

000100000001
101010100100

1

110011001000

1

111011101100

1

111111111110

1

Ripple Carry Adder Código VHDL

VHDL (VHSIC HDL) es un lenguaje de descripción de hardware. Es un lenguaje de diseño digital. El código VHDL para este sumador de acarreo se muestra a continuación.

biblioteca IEEE
utilice IEEE.STD_LOGIC_1164.ALL

entidad Ripplecarryadder es
Puerto (A: en STD_LOGIC_VECTOR (3 hasta 0)
B: en STD_LOGIC_VECTOR (3 hasta 0)
Cin: en STD_LOGIC
S: fuera STD_LOGIC_VECTOR (3 hasta 0)
Cout: fuera STD_LOGIC)
fin Ripplecarryadder

arquitectura El comportamiento de Ripplecarryadder es - Declaración de componente de código VHDL de sumador completo
componente full_adder_vhdl_code
Puerto (A: en STD_LOGIC
B: en STD_LOGIC
Cin: en STD_LOGIC
S: fuera STD_LOGIC
Cout: fuera STD_LOGIC)
componente final

- Declaración de transporte intermedio
Señal c1, c2, c3: STD_LOGIC

comenzar

- Sumador completo de asignación de puertos 4 veces
FA1: mapa de puerto full_adder_vhdl_code (A (0), B (0), Cin, S (0), c1)
FA2: mapa de puerto full_adder_vhdl_code (A (1), B (1), c1, S (1), c2)
FA3: mapa de puerto full_adder_vhdl_code (A (2), B (2), c2, S (2), c3)
FA4: mapa de puerto full_adder_vhdl_code (A (3), B (3), c3, S (3), Cout)

final del comportamiento

Código Verilog de Ripple Carry Adder

El código Verilog es un lenguaje de descripción de hardware. Se utiliza en circuitos digitales en la etapa RTL para fines de diseño y verificación. El código de verificación para este sumador de acarreo se muestra a continuación.

módulo ripple_carry_adder (a, b, cin, sum, cout)
entrada [03: 0] a
entrada [03: 0] b
entrada cin
salida [03: 0] suma
salida cout
alambre [2: 0] c
fulladd a1 (a [0], b [0], cin, suma [0], c [0])
fulladd a2 (a [1], b [1], c [0], suma [1], c [1])
fulladd a3 (a [2], b [2], c [1], suma [2], c [2])
fulladd a4 (a [3], b [3], c [2], suma [3], cout)
endmodule
módulo fulladd (a, b, CIN, suma, cout)
entrada a, b, cin
suma de salida, cout
asignar suma = (a ^ b ^ cin)
asignar cout = ((a & b) | (b & cin) | (a & cin))

Aplicaciones de Ripple Carry Adder

Las aplicaciones de sumador de acarreo de ondulación incluyen las siguientes.

  • Estos sumadores de acarreo se utilizan principalmente además de las secuencias de entrada de n bits.
  • Estos sumadores de acarreo son aplicables en el procesamiento de señales digitales y microprocesadores .

Ventajas de Ripple Carry Adder

Las ventajas de la sumadora de acarreo ondulado incluyen las siguientes.

  • Este sumador de acarreo tiene la ventaja de que podemos realizar un proceso de adición de secuencias de n bits para obtener resultados precisos.
  • El diseño de este sumador no es un proceso complejo.

Víbora de transporte ondulado es una alternativa para cuando el medio sumador y los sumadores completos no realizan la operación de suma cuando las secuencias de bits de entrada son grandes. Pero aquí, dará la salida para cualquier secuencia de bits de entrada con cierto retraso. Según los circuitos digitales, si el circuito da salida con retraso, no será preferible. Esto puede superarse mediante un circuito sumador de anticipación de acarreo.