¿Qué es el generador de paridad y el verificador de paridad: tipos y sus diagramas lógicos?

Pruebe Nuestro Instrumento Para Eliminar Los Problemas





La función principal del generador de paridad y el verificador de paridad es detectar errores en la transmisión de datos y este concepto se introdujo en 1922. En la tecnología RAID, el bit de paridad y el verificador de paridad se utilizan para proteger contra la pérdida de datos. El bit de paridad es un bit adicional que se establece en el lado de la transmisión en '0' o '1', se utiliza para detectar errores de un solo bit y es el método más sencillo para detectar errores. Existen diferentes tipos de códigos de detección de errores que se utilizan para detectar los errores: paridad, contador de anillo, código de paridad de bloque, código de Hamming, biquinario, etc. La breve explicación sobre el bit de paridad, paridad generador y el verificador se explican a continuación.

¿Qué es el bit de paridad?

Definición: El bit de paridad o bit de verificación son los bits que se agregan al código binario para verificar si el código en particular está en paridad o no, por ejemplo, si el código está en paridad par o la paridad impar es verificada por este bit de verificación o bit de paridad. La paridad no es más que un número de unos y hay dos tipos de bits de paridad: el bit par y el bit impar.




En bit de paridad impar, el código debe estar en un número impar de unos, por ejemplo, estamos tomando el código de 5 bits 100011, este código se dice que es de paridad impar porque hay tres números de unos en el código que hemos tomado. . En el bit de paridad par, el código debe estar en un número par de unos, por ejemplo, estamos tomando el código de 6 bits 101101, se dice que este código es de paridad par porque hay cuatro números de unos en el código que hemos tomado.

¿Qué es el generador de paridad?

Definición: El generador de paridad es un circuito de combinación en el transmisor, toma un mensaje original como entrada y genera el bit de paridad para ese mensaje y el transmisor en este generador transmite mensajes junto con su bit de paridad.



Tipos de generador de paridad

La clasificación de este generador se muestra en la siguiente figura

Generador de tipos de paridad

generador de tipos de paridad

Generador de paridad uniforme

El generador de paridad par mantiene los datos binarios en un número par de unos, por ejemplo, los datos tomados están en un número impar de unos, este generador de paridad par mantendrá los datos como un número par de unos añadiendo el 1 extra al impar. número de unos. Este también es un circuito combinacional cuya salida depende de los datos de entrada dados, lo que significa que los datos de entrada son datos binarios o código binario proporcionado para el generador de paridad.


Consideremos tres datos binarios de entrada, que tres bits se consideran A, B y C. Podemos escribir 23combinaciones que utilizan los datos binarios de tres entradas que van de 000 a 111 (0 a 7), se obtendrán un total de ocho combinaciones de los tres datos binarios de entrada dados que hemos considerado. La tabla de verdad del generador de paridad par para datos binarios de tres entradas se muestra a continuación.

0 0 0 - En este código binario de entrada, la paridad par se toma como '0' porque la entrada ya está en paridad par, por lo que no es necesario agregar paridad par una vez más para esta entrada.

0 0 1 – - En este código binario de entrada solo hay un número único de '1' y ese número único de '1' es un número impar de '1'. Si hay un número impar de '1', entonces el generador de paridad par debe generar otro '1' para convertirlo en paridad par, por lo que la paridad par se toma como 1 para convertir el código 0 0 1 en paridad par.

0 1 0 – Este bit está en paridad impar, por lo que la paridad par se toma como 1 para convertir el código 0 1 0 en paridad par.

0 1 1 – Este bit ya está en paridad par, por lo que la paridad par se toma como 0 para convertir el código 0 1 1 en paridad par.

1 0 0 – Este bit está en paridad impar, por lo que la paridad par se toma como 1 para convertir el código 1 0 0 en paridad par.

1 0 1 – Este bit ya está en paridad par, por lo que la paridad par se toma como 0 para convertir el código 1 0 1 en paridad par.

1 1 0 – Este bit también tiene paridad par, por lo que la paridad par se toma como 0 para convertir el código 1 1 0 en paridad par.

1 1 1 – Este bit está en paridad impar, por lo que la paridad par se toma como 1 para convertir el código 1 1 1 en paridad par.

Tabla de verdad del generador de paridad par

A B C Paridad uniforme
0 0 00
0 0 11
0 1 01
0 1 10
1 0 01
1 0 10
1 1 00
1 1 11

La simplificación del mapa de karnaugh (k-map) para la paridad par de entrada de tres bits es

K-Map-For-Even-Parity-Generator

k-map-for-even-parity-generator

De la tabla de verdad de paridad par anterior, la expresión simplificada de bits de paridad se escribe como

La expresión de paridad par implementada usando dos puertas Ex-OR y el diagrama lógico de esta paridad par usando el Ex-OR puerta lógica se muestra a continuación.

Circuito lógico de paridad par

circuito-lógico-paridad-par

De esta manera, el generador de paridad par genera un número par de unos al tomar los datos de entrada.

Generador de paridad impar

El generador de paridad impar mantiene los datos binarios en un número impar de unos, por ejemplo, los datos tomados están en un número par de unos, este generador de paridad impar mantendrá los datos como un número impar de unos añadiendo el 1 extra a el número par de unos. Este es el circuito combinacional cuya salida siempre depende de los datos de entrada dados. Si hay un número par de unos, solo se agrega el bit de paridad para convertir el código binario en un número impar de unos.

Consideremos tres datos binarios de entrada, que tres bits se consideran A, B y C. A continuación se muestra la tabla de verdad del generador de paridad impar para tres datos binarios de entrada.

0 0 0 - En este código binario de entrada, la paridad impar se toma como '1' porque la entrada está en paridad par.

0 0 1 – Esta entrada binaria ya está en paridad impar, por lo que la paridad impar se toma como 0.

0 1 0 – Esta entrada binaria también tiene paridad impar, por lo que la paridad impar se toma como 0.

0 1 1 – Este bit está en paridad par, por lo que la paridad impar se toma como 1 para convertir el código 0 1 1 en paridad impar.

1 0 0 – Este bit ya está en paridad impar, por lo que la paridad impar se toma como 0 para convertir el código 1 0 0 en paridad impar.

1 0 1 – Este bit de entrada está en paridad par, por lo que la paridad impar se toma como 1 para convertir el código 1 0 1 en paridad impar.

1 1 0 – Este bit está en paridad par, por lo que la paridad impar se toma como 1.

1 1 1 – Este bit de entrada está en paridad impar, por lo que la paridad impar se toma como o.

Tabla de verdad del generador de paridad impar

A B C Paridad impar
0 0 01
0 0 10
0 1 00
0 1 11
1 0 00
1 0 11
1 1 01
1 1 10

La simplificación del mapa Kavanaugh (k-map) para paridad impar de entrada de tres bits es

K-Map-For-Odd-Parity-Generator

k-map-for-impar-parity-generator

De la tabla de verdad de paridad impar anterior, la expresión simplificada de bits de paridad se escribe como

El diagrama lógico de este generador de paridad impar se muestra a continuación.

Circuito lógico

circuito lógico

De esta manera, el generador de paridad impar genera un número impar de unos al tomar los datos de entrada.

¿Qué es el control de paridad?

Definición: El circuito combinacional en el receptor es el verificador de paridad. Este verificador toma como entrada el mensaje recibido, incluido el bit de paridad. Da la salida '1' si se encuentra algún error y da la salida '0' si no se encuentra ningún error en el mensaje, incluido el bit de paridad.

Tipos de verificador de paridad

La clasificación del verificador de paridad se muestra en la siguiente figura

verificador de tipos de paridad

verificador de tipos de paridad

Verificador de paridad uniforme

En el verificador de paridad par, si el bit de error (E) es igual a '1', entonces tenemos un error. Si el bit de error E = 0, entonces indica que no hay error.

Bit de error (E) = 1, se produce un error

Bit de error (E) = 0, sin error

El circuito del verificador de paridad se muestra en la siguiente figura

Circuito lógico

circuito lógico

Comprobador de paridad impar

En el verificador de paridad impar, si un bit de error (E) es igual a '1', entonces indica que no hay error. Si un bit de error E = 0, indica que hay un error.

Bit de error (E) = 1, sin error

Bit de error (E) = 0, se produce un error

El verificador de paridad no podrá detectar si hay errores en más de '1' bit y tampoco es posible corregir los datos; estas son las principales desventajas del verificador de paridad.

Generador / verificador de paridad usando IC

El IC 74180 realiza la función de generación de paridad y también de verificación. El generador / verificador de paridad de 9 bits (8 bits de datos, 1 bit de paridad) se muestra en la siguiente figura.

IC-74180

ic-74180

El IC 74180 contiene ocho bits de datos (X0a X7), Vcc,entrada par, entrada impar, salida siete, salida impar y pin de tierra.

Si la entrada par e impar dadas son altas (H), entonces las salidas pares e impares son bajas (L), de manera similar, si las entradas dadas son bajas (L), entonces las salidas pares e impares se vuelven altas ( H).

Ventajas de la paridad

Las ventajas de la paridad son

  • Sencillez
  • Fácil de usar

Aplicaciones de paridad

Las aplicaciones de la paridad son

  • En sistemas digitales y muchas aplicaciones de hardware, esta paridad se utiliza
  • El bit de paridad también se utiliza en la Interfaz de sistemas informáticos pequeños (SCSI) y también en la interconexión de componentes periféricos (PCI) para detectar los errores.

Preguntas frecuentes

1). ¿Cuál es la diferencia entre el generador de paridad y el verificador de paridad?

El generador de paridad genera el bit de paridad en el transmisor y el verificador de paridad verifica el bit de paridad en el receptor.

2). ¿Qué significa no paridad?

Cuando los bits de paridad no se utilizan para verificar errores, se dice que el bit de paridad es sin paridad o sin paridad o ausencia de paridad.

3). ¿Qué es el valor de paridad?

El concepto de valor de paridad se utiliza tanto para materias primas como para valores y el término se refiere a cuando el valor de los dos activos es igual.

4). ¿Por qué necesitamos un verificador de paridad?

El verificador de paridad es necesario para detectar los errores en la comunicación y también en los dispositivos de almacenamiento de memoria se usa el verificador de paridad para las pruebas.

5). ¿Cómo puede el bit de paridad detectar una unidad de datos dañada?

El bit redundante en esta técnica se llama bit de paridad, detecta la unidad de datos dañada cuando ocurre un error durante la transmisión de datos.

En este artículo, cómo paridad El generador y el verificador generan y verifican el bit y sus tipos, los circuitos lógicos, las tablas de verdad y las expresiones de k-map se analizan brevemente. Aquí hay una pregunta para usted, ¿cómo se calcula la paridad par e impar?